Quartus II的使用方法:以交通灯控制器为例 您所在的位置:网站首页 交通信号灯控制 Quartus II的使用方法:以交通灯控制器为例

Quartus II的使用方法:以交通灯控制器为例

2024-06-03 12:43| 来源: 网络整理| 查看: 265

感谢@东东提供的基本电路图,我们在其上作了一些修正。

先打开Quartus II新建一个工程。 这里写图片描述

这里写图片描述 选择事先建好的文件夹,输入工程名。 这里写图片描述 这时文件夹里就有这个工程了,但是还没有电路。 这里写图片描述 打开图形设计界面。 这里写图片描述 把其中用到的一个symbol的电路画上,保存文件。 这里写图片描述 从这个文件建立symbol,这样就可以在工程的其它电路中用了。 这里写图片描述 保存symbol文件(bsf文件)。 这里写图片描述 提示建立控件成功。 这里写图片描述 同样的方法,建立图形文件去写其它控件,和主电路图。 这里写图片描述 选择电路图文件。 这里写图片描述 在主电路图中可以添加刚刚建立的控件了。 这里写图片描述 保存主电路图,必须和工程名称一致。 这里写图片描述 都做好以后可以编译了。 这里写图片描述 开始编译。 这里写图片描述 编译成功。 这里写图片描述 在仿真前,要建立网表。 这里写图片描述 建立成功。 这里写图片描述 打开波形图编辑器。 这里写图片描述 双击左侧空白插入节点,选择寻找节点。 这里写图片描述 列出全部节点,然后导入。 这里写图片描述 一路确定,得到这样的结果。 这里写图片描述 可以将多个节点合为一组,这里8421码表示的十进制就被合成了一个十进制。 这里写图片描述 点击左侧的问号可以对输入进行设置值,时钟信号点击左侧的钟按钮设置时钟周期。 这里写图片描述 保存波形文件,用于仿真。 这里写图片描述 打开仿真工具。 这里写图片描述 默认是时序仿真,为了检测电路功能是否OK,这里只用了Functional,表示进行功能仿真。 这里写图片描述 仿真结果,可以看到功能仿真是正确的。 这里写图片描述 可惜的是这个电路的时序仿真有些问题,电路脉冲的时间差没有解决,所以下载到实验箱上模拟时还是有很多问题。

最后老师同情我们给过了。



【本文地址】

公司简介

联系我们

今日新闻

    推荐新闻

    专题文章
      CopyRight 2018-2019 实验室设备网 版权所有