FPGA 行业深度报告:应用边界不断拓宽,优质赛道价值凸显 您所在的位置:网站首页 芯片memory FPGA 行业深度报告:应用边界不断拓宽,优质赛道价值凸显

FPGA 行业深度报告:应用边界不断拓宽,优质赛道价值凸显

2023-09-13 02:09| 来源: 网络整理| 查看: 265

通过对 FPGA 编程,用户可随时改变芯片内部的连接结构,实现任何逻辑功能。尤其是在技术标准尚未成熟或发展更迭速度快的行业领域,FPGA 能有效帮助企业降低投资风险及沉没成本,是一种兼具功能性和经济效益的选择。

以数据中心的应用为例,由于计算任务多变、算法变化频繁,各类神经网络模型的更迭周期远短于 ASIC 芯片的研发周期,需求与研发的周期错配将导致大额的沉没成本。

而 FPGA 芯片只需要几百毫秒即可更新芯片的逻辑功能,有力的节约了研发机构、用户的投 资成本。

此外, FPGA 还可在不同的业务需求之间灵活调配,以放大经济效益,如白天用于搜索业务排序的处理器,晚上工作量较少的情况下,可将其中 FPGA 重新配置成离线数据分析的模块,提供离线数据分析服务,提升设备利用率。

②并行性:

CPU、GPU 都属于冯·诺依曼结构,该结构具有软件编程的顺序特性。在执行任务时,执行单元需按顺序通过取指、译码、执行、访存以及写回等一系列流程完成数据处理,且多方共享内存导致部分任务需经访问仲裁,从而产生任务延时。

而 FPGA 是典型的硬件逻辑,每个逻辑单元与周围逻辑单元的连接构造在重编程(烧写)时就已经确定,寄存器和片上内存属于各自的控制逻辑,无需通过指令译码、共享内存来通信,各硬件逻辑可同时并行工作,大幅提升数据处理效率。尤其是在执行重复率较高的大数据量处理任务时,FPGA 相比 CPU 等优势明显。

③产品上市周期短:

由于 FPGA 买来编程后即可直接使用,FPGA 方案无需等待三个月至一年的芯片流片周期,为企业争取了产品上市时间。

④用量较小时的成本优势:

ASIC等方案有固定成本,而FPGA方案几乎没有。对客户而言,由于FPGA方案无需支付高额的流片成本,也不用承担流片失败风险,对于小批量多批次的专用控制设备, FPGA方案的成本低于ASIC等方案,具有成本优势。

1.2 独特优势拓展需求空间,国内外市场同步高增

FPGA 市场规模不断扩大,全球年均复合增长率 16.4%:根据 Frost&Sullivan 统计数据,FPGA 全球市场规模从 2016 年的约 43.4 亿美元增长至 2020 年约 60.8 亿美元,年均复合增长率约为 8.8%。

随着全球新一代通信设备以及人工智能与自动驾驶技术等新兴市场领域需求的不断增长,预计全球 FPGA 市场规模将从 2021 年的 68.6 亿美元增长至 2025 年 125.8 亿美元,年均复合增长率约为 16.4%。

中国市场增速快于全球,未来五年年均复合增长率为23.1%。

中国FPGA 市场从2016年的约65.5亿元增长至2020年的约150.3亿元,年均复合增长率约为 23.1%。

随着国产替代进程的进一步加速,预计到2025年中国FPGA 市场规模将达到约332.2亿元。

需求结构目前仍以100K以下以及28nm 以上制程为主。

1)按逻辑单元拆分,目前100K以下逻辑单元的FPGA芯片仍是市场需求量最大的部分,其次为 100K-500K 逻辑单元部分。

Frost&Sullivan 数据显示,中国市场2019年以销售额计100K 逻辑单元以下的FPGA芯片占据了 38.2%的市场份额,100K-500K逻辑单元的FPGA芯片占据了31.7%的市场份额;

2)按制程拆分,目前28nm-90nm制程区间内的FPGA芯片由于其较高的性价比,与较高的良品率依然占据了市场的主要地位。

此外,由于先进制程产品具有更低功耗与面积和更高的性能,28nm以下制程的FPGA芯片预计将快速发展。

Frost&Sullivan 数据显示,中国市场2019年以销售额计, 28nm-90nm制程的FPGA芯片占据了63.3%的市场份额,28nm以下制程的FPGA芯片占据了20.9%的市场份额。

展望未来,多种因素将进一步刺激 FPGA 芯片的需求量。

首先,随着新一代通信技术的商用化,通信基站、服务器、智能终端等产品的需求将进一步扩大,从而带动 FPGA 芯片市场需求的提升。

同时,智慧城市、智能工厂、消费电子对各类智能物联网设备等的功能性更加看重,这将驱使 FPGA 芯片在智能物联网设备中的广泛应用。

最后,随着车联网技术的发展,汽车行业也将使用 FPGA 芯片,以构建更完善的车联网以及实现更智能的自动驾驶功能。

因此,新一代通信技术、物联网和车联网的发展将大大提升市场对 FPGA 芯片的需求,推动 FPGA 产业持续发展。

1.3 下游应用多点开花:FPGA 细分领域成长性分析

FPGA 下游应用广泛,5G+AI+汽车电子引领行业增长。得益于 FPGA 高灵活性,可扩展的优点,FPGA 的下游应用十分广阔,包括网络通信、消费电子、数据中心、汽车电子、人工智能等领域。

目前随着 5G 通信的快速渗透,人工智能的蓬勃发展以及汽车智能化趋势不断加强,预计未来通信、AI、汽车电子三项领域 FPGA 需求量将不断提高,市场规模有望持续收益。

1、网络通信和 5G 中 FPGA 芯片的应用

网络通信领域是 FPGA 芯片的主要应用市场之一,Frost&Sullivan 数据显示 2020 年应用于该领域的 FPGA 芯片中国销售额将达到 62.1 亿元,占中国 FPGA 芯片市场份额的 41.3%,2021 年至 2025 年年均复合增长率将达到 17.5%。

FPGA 芯片目前被大量应用在无线通信和有线通信设备中,实现接口扩展、逻辑控制、数据处理、单芯片系统等各种功能。

在有线通信领域,FPGA 芯片被应用于数据接入、传送、路由器、交换机的多种电路板中,以实现信号控制、传输加速等各种功能。

在无线通信领域,FPGA 芯片被应用在无线通信基站和射频处理单元的多种电路板中以实现通信协议的各种功能和未来升级需求,集成 CPU 的现场可编程系统级芯片产品被应用在室外微基站、室内微基站等无线网络通信中,以单芯片完成商业、住宅、工厂区域的多模覆盖、网络容量增加、人工智能计算等多样性功能需求。

在网络通信领域,FPGA 芯片得到大规模运用主要是由于其具有高度的灵活性、极强的实时处理和并行处理能力,大大加强了通信设备的处理能力。

在无线通信系统中,许多功能模块通常都需要大量的滤波运算,这些滤波函数往往需要大量的乘和累加操作。

FPGA 芯片内在的分布式逻辑和运算单元结构使其可以较容易地实现分布式的算法结构,因此可以有效地实现这些乘和累加操作,使其可以实现通信过程中大量的高速数字信号处理功能。

相较于其他类型芯片,FPGA 芯片一方面依靠其运算速度可以有效满足通信领域高速的通信协议处理需求,另一方面又可依靠其灵活性以适应通信协议持续迭代的特点。

2、工业 FPGA 芯片市场

工业领域是 FPGA 芯片的主要应用市场之一,Frost&Sullivan 数据显示 2020 年应用于该领域的 FPGA 芯片中国市场销售额将达到 47.4亿元,占中国 FPGA 芯片市场份额的 31.5%,2021 年至 2025 年年均复合增长率将达到 16.1%。

FPGA 芯片在工业领域应用非常广泛,大量应用在视频处理、图像处理、数控机床等领域实现信号控制和运算加速功能。

随着智能化与自动化技术的发展,工业领域也正逐渐从以人力资源为核心要素转向以自动化为核心要素的智能化无人工厂。

受益于工业智能化、无人化的发展趋势, FPGA芯片高效能、实时性、高灵活性的特点使其在工业领域得到了广泛应用,以数控机床的伺服系统为例,相较传统的只能控制单一马达的专用芯片, FPGA 芯片可以做到多通道的马达控制。

目前驱动马达所消耗的电力占据了全球能源消耗的很大部分,在节能环保的趋势下,未来各类能够精准控制马达并可以在单一芯片上实现控制多个马达的 FPGA 芯片将在工业控制领域得到更多应用。

3、数据中心行业 FPGA 芯片市场

数据中心是FPGA芯片的新兴应用市场之一,Frost&Sullivan 数据显示2020年应用于该领域的FPGA芯片中国销售额将达到16.1亿元,占中国FPGA芯片市场份额的10.7%,2021年至2025年年均复合增长率将达到16.6%。

数据中心是全球协作的特定设备网络,用来在网络基础设施上传递、加速、展示、计算、存储数据信息。服务器和存储器作为数据中心的通用基础设备,为了应对复杂多变的应用情景,需要FPGA芯片实现逻辑控制、数据转换、功能扩展、系统升级等功能。

在数据中心运算处理领域,相比于CPU,FPGA芯片由于其无指令、无需共享内存的体系结构,能够同时提供强大的计算能力和足够的灵活性;相比GPU,FPGA芯片在数据中心具有低延迟及高吞吐的优势;相比ASIC,FPGA芯片在性能、灵活性、同构性、成本和功耗等五个方面可以达到出色的平衡。

FPGA 芯片在数据中心领域主要用于硬件加速,数据中心使用 FPGA 芯片代替传统的 CPU 方案后,处理其自定义算法时可实现显著的加速效果。

因此从 2016 年开始,微软 Azure、亚马逊 AWS、阿里云的服务器上都开始部署 FPGA 加速器用于运算加速。在云计算大面积应用的背景下,未来数据中心对芯片性能的要求将进一步提高,更多数据中心将采纳 FPGA 芯片方案,这将提高 FPGA 芯片在数据中心芯片中的价值占比。

4、强逻辑性+功耗低,汽车智能化打开 FPGA 增量空间。

在汽车电子系统接口及控制领域,FPGA 芯片用于控制和驱动电动汽车电机控制系统,连接驾驶系统、仪表盘、雷达、超声波传感器等各种车载设备,实现激光雷达、毫米波雷达等信号处理和控制。

在视频桥接和融合领域,FPGA 芯片可用于实现多个图像传感器的信号桥接、 3D 环视视频融合、倒车辅助视频、辅助驾驶视频等功能。

在辅助驾驶和自动驾驶领域,FPGA 芯片可用于实现机器视觉与目标检测等各种功能。相较其他通用芯片方案,FPGA 方案在辅助驾驶的视频分析功能中可采用超低延时精确算法对来自车辆摄像机的实时视频输入信号进行分析,及时做出判断,并且FPGA方案可以在不进行重新设计的前提下实现重新编程,以适应不断发展的算法,从而缩短整体方案的开发周期。FPGA 芯片的这些优势为快速增长的各种汽车电子应用需求提供了灵活的低成本高性能解决方案。

在高级驾驶辅助系统(ADAS)、汽车信息娱乐系统等新兴汽车电子领域,FPGA 芯片成功地应对了自动驾驶要求的快速演变,成为提升用户驾驶体验和信息娱乐体验不可或缺的一部分。

根据 Frost&Sullivan 数据显示 2020年应用于该领域的FPGA芯片中国销售额将达到9.5亿元,预计2025 年达 26.3 亿元,年均复合增长率为 22.7%。

5、高灵活性+强算力,高度匹配人工智能领域需求。

人工智能算法芯片实现分为云侧处理和端侧处理。

在云侧处理时,和 GPU 及 ASIC 芯片相比,FPGA 芯片内在并行处理单元达到百万级,可以做到真正并行运算,其可编程性又可实现灵活搭建数据处理流水线,因此运算速度快,数据访问延迟低,较为适合人工智能的实时决策需求。

在端侧处理领域,FPGA 芯片可实现快速推断决策,另外其具有的现场可编程、可实现定制功能、高吞吐量和低延迟等特点有效地满足了用户对各种神经网络设计的要求,成为适配各种经过压缩优化的神经网络部署和升级的理想选择。

持续受益于人工智能领域的广阔发展前景,未来 AI 用 FPGA 需求量将持续向好,据 Frost&Sullivan,中国 FPGA 人工智能领域市场 2020 年规模 5.8 亿元,预计 2025 年达 12.5 亿元,年均复合增长率为 16.9%。

1.4 竞争格局:国外绝对垄断,国内龙头公司迎头赶上

FPGA 芯片国外起步较早,技术积累深厚,高度垄断市场。

根据 Frost&Sullivan 的统计数据,以出货量为口径,2019 年中国 FPGA 芯片市场有超 80%的份额被外商占据,前三名供应商为赛灵思、英特尔和莱蒂斯,出货量分别为 5200 万颗,3600 万颗和 3300 万颗,占比 36.6%、25.3%和 23.2%,国产厂商安路科技排在第四位,占比仅 6%。

若以销售额口径统计,市场呈现双寡头形式,2019 年赛灵思和英特尔两家的合计占有率达 91.1%,安路科技排名第四,占比 0.9%,在国产厂商中排名第一。

国内公司主要面向中低端市场,技术水平仍存在差距。

国内 FPGA 市场起步较晚,相关技术人员匮乏,主要面向低密度市场扩展自身份额,逐步实现国产替代。

从技术水平上看,国内厂商与国际龙头仍存在较大差距。赛灵思拥有各项专利 5300 项,相比之下紫光同创仅有 300 项,在 FPGA 核心专利大部分被外商掌握的前提下,FPGA 芯片的国产化需要更长时期的技术积累和投入。

而从工艺制成、门级规模及 SerDes 几个 FPGA 关键技术指标来看,赛灵思等外资厂商仍具有明显的技术优势,在 28nm 制程复旦微电等公司技术已经区域成熟,但更先进制程,如 7nm 等技术依然被外商垄断,国产替代任重而道远。

2 相关公司梳理:安路科技/复旦微电/紫光国微

2.1 安路科技

安路科技为国内领先的半导体和集成电路设计企业之一,主营业务为 FPGA 芯片和专用 EDA 软件的研发、设计和销售。

根据 Frost&Sullivan 研究数据显示,以 2019 年出货量口径计算,公司在中国市场的国产 FPGA 芯片供应商中排名第一。

历经近 10 年的发展,依靠持续不断的研发投入和精益求精的技术创新,公司在众多技术领域取得了突破,获得了下游客户的广泛认可。

在硬件设计方面,公司是国内首批具有先进制程 FPGA 芯片设计能力的企业之一;在 FPGA 专用 EDA 软件方面,公司的 TangDynasty 软件是国内少数全流程自主开发的 FPGA 专用软 件;在 FPGA 芯片测试方面,公司自主开发的工程和量产技术保证了产品具有竞争力的良率和品质;在 FPGA 芯片应用方案方面,公司也已经积累了一批成熟的图像处理与人工智能硬件加速技术。

公司过去几年收入稳步提升,净利润受研发投入等因素影响,目前处于波动较大的水平。

公司密切跟踪行业发展趋势及下游需求变化,建立了完善的产品体系。

根据产品的性能特点与目标市场的应用需求,公司目前形成了 PHOENIX 高性能产品系列、 EAGLE 高性价比产品系列和 ELF 低功耗产品系列,其产品广泛应用于工业控制、网络通信、消费电子、数据中心等领域。

公司凭借领先的研发能力、长期的技术积累、可靠的产品质量和优秀的客户服务水平,在国内外积累了良好的品牌认知和优质的客户资源,客户认可度不断提高。

此外,公司也与中芯国际、台积电、华天科技等供应商建立了稳定的合作关系。

公司毛利率保持稳中有升。

2020 年度,安路科技整体业务毛利率相较于 2019 年度基本保持稳定,主要是公司芯片产品结构较为稳定,毛利率较高的 PHOENIX 系列仍处于市场推广阶段,未实现大量出货以推动整体芯片业务的毛利率,而营业收入占比较大、毛利率较为稳定的 ELF 系列仍为影响公司主营业务毛利率的主要因素。

今年上半年毛利率进一步提升:

在 2021 年 1-6 月,公司主营业务毛利率相较于 2020 年度有所提升,其中,FPGA 芯片产品毛利率的增长主要是毛利率较高的 PHOENIX 系列芯片产品销量提升,产品结构的调整推动了 FPGA 芯片产品整体毛利率的提升。公司整体毛利率保持稳中有升的状态,后续在规模效应下,预计毛利率净利率将进一步提升。

公司作为国内 FPGA 公司领军者之一,主要的核心竞争优势包括:

(1)国内顶尖的管理和研发团队

公司始终视人才为立身之本,公司创始人及核心团队包括来自海外高级技术管理人才及资深集成电路和软件行业人员。

截至2021年 6 月 30 日,公司共有研发及技术人员 249 人,占其员工总数量的 83.84%,部分毕业于复旦大学、上海交通大学、清华大学、中国科学院、电子科技大学、加州大学等国内外著名高校。

研发核心团队大多在国际著名的芯片公司和 EDA 公司中从事过 10 年以上高级技术研发和管理工作。

此外公司对主要管理人员和核心技术人才制定了激励制度,将员工的个人利益与公司的长远发展紧密联系在一起。

(2) 深厚的研发实力和技术储备

技术创新是公司持续发展的基石。

安路科技在硬件芯片设计技术、FPGA 专用 EDA 软件技术、FPGA 芯片测试技术、FPGA 应用方案四个方面均取得了众多研究成果。

截至2021年月 30 日,公司已获得专利 57 项,其中发明专利 46 项,集成电路布图设计专有权 14 项,软件著作权 17 项,技术储备位居国内 FPGA 行业第一梯队。

(3)创新的软硬件协同研发平台

公司自主创新开发的软硬件协同研发平台,实现了以软件为核心,支持硬件、专用 EDA 软件、测试、应用 IP 和参考方案的协同设计。

(4) 强大的中国市场服务能力

安路科技作为国内领先的 FPGA 芯片公司较国外公司可以更为快速地了解客户的应用需求和痛点,更高效地研发产品,为客户提供快速的参考方案和现场支持等服务。

目前,公司已在上海、深圳、北京、武汉、西安、成都等主要城市建立了具有丰富经验的销售团队,该团队的主要职责为及时响应国内客户的需求,并依据客户的要求于现场处理 FPGA 芯片调试或其他与公司产品有关的问题。

募投项目助力公司快速发展。

公司募投项目“新一代现场可编程阵列芯片研发及产业化项目”将主要研发新一代可编程逻辑单元、存储单元 RAM、高速接口、层次化互联四大硬件关键技术,持续提高公司 FPGA 产品的逻辑单元数量、运算性能及数据传输能力,针对先进工艺和大容量逻辑规模进行专用 EDA 软件的算法升级、性能优化、运行速度提升;“现场可编程系统级芯片研发项目”将重点研发低功耗 FPSoC 架构设计、高性能 FPSoC 架构设计和新一代 FPSoC 软件开发等方向, 公司核心竞争力突出,未来将是高增长高壁垒的国内 FPGA 市场的重要玩家,享受行业增长+市占率提升的双重成长红利。

2.2 复旦微电

复旦微是一家从事超大规模集成电路的设计、开发、测试,并为客户提供系统解决方案的专业公司。

公司目前已建立健全安全与识别芯片、非挥发存储器、智能电表芯片、FPGA芯片和集成电路测试服务等产品线,产品广泛应用于金融、社保、城市公共交通、电子证照、移动支付、防伪溯源、智能手机、安防监控、工业控制、信号处理、智能计算等众多领域。

公司主要产品包括安全与识别芯片、非挥发存储器、智能电表芯片、FPGA芯片和集成电路测试服务,过去几年公司的营收利润规模稳步提升。

公司拥有齐全的产品线、深厚的技术储备。

公司先后承担了多项“国家重大科技专项”项目和上海市战略性新兴产业重大项目,参与制定了信息安全技术射频识别系统密码应用技术、射频识别系统密码应用技术要求、通用NAND 型快闪存储器接口等多项国家标准和行业标准。

同时,基于公司长期稳定的购销需求,复旦微与集成电路产业链上下游厂商构建了牢固的供应链合作关系。

目前,公司的RFID芯片、智能卡芯片、EEPROM、智能电表MCU等多类产品的市场占有率位居行业前列,且产品性能受到三星、LG、VIVO、海尔、海信、联想等国内外知名厂商的认可,打造了良好的品牌认知度。

公司的主要优势在于拥有强大的产品研发能力,包括丰富的技术积累、优秀而成熟的研发团队和卓越的技术创新能力。

公司在FPGA领域有着深厚的技术积累,经过近20年的发展,公司从 180nm 万门级 FPGA 发展到目前28nm亿门级 FPGA,掌握了 FPGA 相关的所有关键技术,申请了大量的相关专利。

其次,公司具有优秀的 FPGA 研发团队,历经 6 代技术发展历程,公司在设计、流片控制、 封装、测试方面均已培养了大量具有丰富开发经验的研究人员与操作人员。

在未来的研发上,针对人工智能、大数据以及物联网等应用领域,公司正在 28nm 工艺制程上研发出基于 FPGA 的 PSoC 芯片,为人脸识别、计算机视觉等新兴领域提供性价比更优、可靠性更高的人工智能 PSoC 解决方案。

公司同时还开启了 14/16nm 工艺制程的 10 亿门级 FPGA 产品的研发进程,将继续为国产 FPGA 先进技术的突破贡献力量。

2.3 紫光国微

紫光国微是紫光集团有限公司旗下的半导体行业上市公司,成立于 2001 年,专注于集成电路芯片设计开发业务,产品及应用遍及国内外,在智能安全芯片、高稳定存储器芯片、功率半导体器件、超稳晶体频率器件等核心业务领域已形成领先的竞争态势和市场地位。

特种集成电路业务营高毛利率,营收稳健增长,去年开始已经成为占比第一的业务。

且特种集成电路业务增速在公司体系内远高于其他业务,同时毛利率方面表现突出,2018-2020年集成电路的毛利率为66.4%、74.4%、79.6%,可以看到毛利率方面常年保持在60%以上。

紫光国微的特种集成电路业务产品覆盖了多个特种行业需求,主要包括航空、航天、地面装备、船舶等重要领域。

产品涵盖高性能微处理器、高性能可编程器件、存储类器件、总线器件、接口驱动器件、电源芯片六大系列,同时可以提供 ASIC/SOC 设计开发服务及国产化系统芯片级解决方案。

在芯片产业受到广泛关注和大力支持的背景下,特种集成电路各产品系列市场占有率逐步提高,多款特种微处理器产品进入了重要的嵌入式特种应用领域,特种 FPGA 产品已经广泛应用在电子系统、信息安全、自动化控制等领域,在国内取得了较高的市场占有率;特种存储器产品已经具有国内特种应用领域最广泛的产品系列;公司的 SoPC 平台产品也获得了市场的广泛认可和应用;公司目前已开发了 200 余款产品,并与国内多家行业内重点企业建立了战略合作伙伴关系,并赢得了广泛的市场认同和声誉。

特种设备领域价格过高和对安全可控的要求,使国产替代化迫在眉睫。

公司的 FPGA 产品主要应用于特种要求的场景之下,民用市场使用的相对较少。

在复杂恶劣的环境下,比如太空环境下需要特殊设计使器件对太空的粒子辐射有可靠性保证。

反熔丝 FPGA 由于其可靠性高,抗辐射等优点,是空间领域使用的主流 FPGA 之一,但反熔丝 FPGA 制造困难且多用在特殊用途领域,目前市场上的宇航级/抗辐射级 FPGA 不仅是天价,在复杂多变的国际形势下,推进核心集成电路的自主可控,构建安全可控的信息技术体系,是我国信息产业发展的重要保障。

特种集成电路发展空间大,FPGA业务将是其中重要的收入贡献。

根据Market Research Future的研究,在2017年到2023年的预测区间内,特种集成电路全球市场的复合年增长率可能会达到9%。

而亚太地区作为特种集成电路全球市场最大的组成部分,给紫光国微特种集成电路的发展带来了巨大的机遇。

同时公司不断提升自我研发水平,作为用户国产化率提升的核心器件之一的新一代大规模可 编程FPGA系列研发完成,该产品的推出进一步强化公司在该产品领域的龙头地位,同时也为紫光国微在特种集成电路领域的后续发展打下了又一层坚实的基础。

公司作为国内FPGA龙头公司之一,凭借核心的技术实力和资源禀赋,未来也将充分享受需求扩大+市占率持续提升的双重红利。

风险提示:

1.新产品市场推广风险;2.下游需求不及预期风险;3.行业竞争加剧风险。

公众号:搜索老范说评返回搜狐,查看更多



【本文地址】

公司简介

联系我们

今日新闻

    推荐新闻

    专题文章
      CopyRight 2018-2019 实验室设备网 版权所有