EDA工具软件的使用.ppt 免费阅读可下载 您所在的位置:网站首页 奶酪介绍PPT EDA工具软件的使用.ppt 免费阅读可下载

EDA工具软件的使用.ppt 免费阅读可下载

#EDA工具软件的使用.ppt 免费阅读可下载| 来源: 网络整理| 查看: 265

《EDA工具软件的使用.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库。

1、第2章EDA工具软件的使用方法?本章概要:本章以Altera公司的QuartusⅡ为主,介绍EDA工具软件的使用方法,作为EDA设计的基础。通过本章的学习,读者可初步采用QuartusII软件的原理图输入法,设计数字电路和系统,掌握用试验开发系统或开发板对设计电路进行硬件验证的方法。?知识要点:(1)EDA技术的原理图输入设计法。(2)用原理图输入法实现多层次系统电路的设计。(3)QuartusⅡ的宏功能模块的使用方法。2.1QuartusII软件的安装QuartusII软件的安装,只要把QuartusII软件光盘放入计算机的光驱中,安装光盘将自动引导完成QuartusII的安装。软件安装结束之后,还必须在软件中指定Altera公司的授权文件(License.dat),才能正常

2、使用QuartusII软件。软件安装在winxp中具体安装硬件驱动程序如下:1.打开控制面板|添加硬件,点“下一步”;2.选择“是,我已经连接了此硬件”,按向导进行至下面的界面时,选择“添加新的硬件设备”,再“下一步”;3.选择“安装我手动从列表选择的硬件”,然后“下一步”;4.在打开的对话框中选择“声音、视频和游戏控制器”,再“下一步”;5.选择“从磁盘安装”,在弹出的对话框中单击“浏览”浏览驱动程序所在的目录:QuartusII的安装目录dirverswin2000,选择“WIN2000.inf”,单击“确定”。为了方便电路设计,设计者首先应当在计算机中建立自己的工程目录。例如,将自己的全部EDA设计文件放在d:myeda文件夹中,而为图形编辑设计建立d:myeda

3、mygdf文件夹,为VHDL文本编辑设计建立d:myedamyvhdl等。2.2QuartusII软件的主界面QuartusII软件为适应广大MAX+PLUSⅡ软件应用工程人员的需要,提供了QuartusII和与MAX+PLUSⅡ兼容的两种主界面。Quartus主界面窗口MAX+PLUSⅡ主界面窗口工设引时开分延开编编程置脚序始析时始译程导锁收编综分仿报器航定敛译合析真告编编辑辑器timingclosure,意思就是说布线后可能会有时序不满足的问题,那么就要解决这个问题,解决时序不满足的工作就叫timingclosure。2.3QuartusII的图形编辑输入法图形编辑输入法也称为原理图输入设计法。用QuartusII的原理图输入设计法进行数字系统设计时,不需要任何硬件描

4、述语言知识,在具有数字逻辑电路基本知识的基础上,就能可使用QuartusII提供EDA平台,设计数字电路或系统。为了方便电路设计,设计者首先应当在计算机中建立自己的工程目录(如d:myeda)。将自己的全部EDA设计文件放在文件夹中。注意工程文件夹的名称不要使用汉字,最好也不要使用数字。在QuartusII平台上,使用图形编辑输入法设计电路的操作流程包括编辑(设计输入)、编译、仿真和编程下载等基本过程。用QuartusII图形编辑方式生成的图形文件默认的扩展名为.bdf。QuartusⅡ原理图输入的基本操作编辑原理图引脚锁定选择目标器件再次编译编译设计文件编程下载仿真硬件调试2.3.1编辑输入图形设计文件使用QuartusII设计电路系统之前,需要先建立设计项目(Projec

5、t)。例如,用图形编辑法设计8位加法器adder8时,需要先建立adder8的设计项目。在QuartusII集成环境下,执行“File”菜单的“NewProjectWizard”命令,弹出如图所示的新建设计项目对话框的“NewProjectWizard:Directory,Name,TOP-LevelEntuty[page1of5]”页面(新建设计项目对话框共5个页面)。此页面用于登记工程设计文件的地址(文件夹)、设计项目的名称和顶层文件实体名。在对话框的第一栏中填入项目所在的文件夹名;第二栏是设计项目名,需要填入新的设计项目名,第三栏是顶层文件实体名,需要填入顶层文件实体的名称。设计项目名和顶层文件实体名可以同名,一般在多层次系统设计中,以与设计项目同名的设计实体作为顶层文件

6、名。新建项目对话框的第2页面用于增加设计文件,包括顶层设计文件和其他底层设计文件。如果顶层设计文件和其他底层设计文件已经包含在工程文件夹中,则在此页面中将这些设计文件增加到新建项目中。新建项目对话框第3页面用于设置编程下载的目标芯片的类型与型号。在编译设计文件前,应先选择下载的目标芯片,否则系统将以默认的目标芯片为基础完成设计文件的编译。目标芯片选择应根据支持硬件开发和验证的开发板或试验开发系统上提供的可编程逻辑器件来决定。不同的适配板上的可编程逻辑不同。新建设计项目对话框的第4页面用于设置第三方EDA工具软件的使用,一般情况下可以设置为“不使用”(在第三方工具软件选择框不出现“√”)。新建设计项目对话框的第5页面用于显示新建设计项目的摘要,用鼠标点击此页面下方的“Finish

7、”按钮,完成新设计项目的建立。新的项目建立后,在QuartusII集成环境下,执行“File”菜单的“New”命令,在弹出编辑文件类型对话框中,选择“BlockDiagram/SchematicFile”(模块/原理图文件)方式,进入QuartusII图形编辑方式的窗口界面。图2.22编辑文件类型对话框在原理图编辑窗中的任何一个位置上用双击鼠标,弹出一个元件选择窗。参数可设置的强函数元件库MAX+plus库基本逻辑元件库基本逻辑元件库中的元件由此输入所需要的元件名图2.23元件选择框在8位加法器adder8的设计中,将电路设计需要的2个4位加法器74283以及输入、输出元件符号调人图形编辑框中,根据8位加法器设计的原理图,用鼠标完成电路内部的连接以及与输入、输出元件的连接,并将

8、相应的输入元件符号名分别更改为“A[7..0]”和“B[7..0]”,把输出元件的名称分更改为“SUM[7..0]”和“COUT”,如图所示。其中A[7..0]和B[7..0]是两个8位加数输入端,SUM[7..0]是8位和数输出端,COUT是向高位进位输出端。电路设计完成后,用“adder8.bdf”为文件名保存在工程目录中。图2.248位加法器的原理图2019-8-1塔形显示编译器编程器管脚编辑器波形仿真器指针文本元器件工具模块orthogonalbustool正交节点工具正交总线工具正交映射工具橡皮筋工具线条部分选择工具缩放查找全屏翻转作图工具2.3.2编译设计图形文件执行QuartusII主窗口“Processing”菜单的“StartCompilation”命令,或者

9、在主窗口上直接用鼠标左键点击“开始编译”命令按钮,开始对adder8.bdf文件进行编译。编译工具的编译过程界面如图2.25所示,编译过程包括分析与综合、适配、编程和时序分析等4个环节。图2.25QuartusII编译工具界面2019-8-12.3.3仿真设计文件1.建立波形文件执行QuartusII主窗口“File”菜单的“New”命令,在弹出编辑文件类型对话框,选择对话框“OtherFiles”中的“VectorWaveformFile”方式,进入QuartusII波形编辑方式,弹出新建波形文件编辑窗口界面。新建波形文件编辑窗口界面2.输入信号节点在波形编辑方式下,执行“Edit”菜单下的“InsertNodeorBus…”命令,或在波形文件编辑窗口的“Name”栏中点击鼠

10、标右键,在弹出的快捷菜单中选择“InsertNodeorBus…”命令,弹出插入节点或总线(InsertNodeorBus…)对话框,。在“InsertNodeorBus…”对话窗口中首先点击“NodeFinder…”键,弹出节点发现者(NodeFinder)对话框。插入信号节点对话框节点发现者对话框在“NodeFinder”对话框的“Filter”栏目中,用选择“Pins:all”项,再点击“Start”按钮,这时在窗口左边的“NodesFound:”(节点建立)框中将列出该设计项目的全部信号节点。若在仿真中需要观察全部信号的波形,则点击窗口中间的“”按钮;若在仿真中只需要观察部分信号的波形,则首先用鼠标左键将信号名点黑选中,然后点击窗口中间的“”按钮,选中的信号即进入到窗口

11、右边的“SelectedNodes:”



【本文地址】

公司简介

联系我们

今日新闻

    推荐新闻

    专题文章
      CopyRight 2018-2019 实验室设备网 版权所有